CMOS集成电路后端设计与实战

首页 > 图书 > 科技/2020-08-05 / 加入收藏 / 阅读 [打印]
CMOS集成电路后端设计与实战

CMOS集成电路后端设计与实战

作者:刘峰

开 本:16开

书号ISBN:9787111514404

定价:69.0

出版时间:2015-09-01

出版社:机械工业出版社

CMOS集成电路后端设计与实战 本书特色

  集成电路后端设计流程长、环节多,而且每个环节、每个工种都涉及非常多的背景知识和技能。为了让读者能够系统地掌握后端设计**的基础知识,本书不仅在广度上全面覆盖集成电路后端设计的三个重要设计大方向:全定制、半定制和静态时序分析,而且在深度上覆盖了后端三大重要设计方向之间相互关联的技术点。并以此来贯穿整个后端设计流程,使读者在广度和技术点衔接两方面深入理解整个后端设计技术和流程细节。本书不拘泥于枯燥理论的灌输,把整个集成电路后端设计过程通过结合业内主流eda设计工具和实践操作的形式进行讲解,*终以理论联系实际的方法来真正地提高读者学以致用的工程技术设计能力。本书是任何想要学习集成电路后端设计的读者必读的。   本书特点:   系统而且深入,既对后端设计知识的广度有足够的覆盖,同时也不乏深度和细致。   从完整工程设计的角度出发,结合主流工具,实操性强。   涉及的实验技术资料可以在相关eetop【后端设计】分论坛下载。   作者将定期在eetop分论坛与本书读者进行互动和交流,解答读者问题。

CMOS集成电路后端设计与实战 内容简介

作者十年磨铁之作,intel、睿晟微电子、复旦微电子多位专家联袂推荐。   首本由本土作者系统讲解集成电路后端设计的专著,集后端设计之大成。   结合后端设计的主流工具,理论联系实践,极具可操作性。

CMOS集成电路后端设计与实战 目录

前言第1章引论1.1集成电路发展史简介1.2国内集成电路发展现状1.3国际集成电路发展趋势第2章集成电路后端设计方法2.1集成电路后端设计2.2后端全定制设计方法2.2.1后端全定制设计流程介绍2.2.2主流后端全定制设计工具介绍2.2.3后端全定制设计小结2.3后端半定制设计方法2.3.1后端半定制设计流程介绍2.3.2主流后端半定制设计工具介绍2.3.3后端半定制设计小结**部分后端全定制设计及实战第3章后端全定制设计之标准单元设计技术3.1设计标准单元库的重要性3.2标准单元设计技术3.2.1标准单元的基本介绍3.2.2标准单元的基本类型3.2.3标准单元库提供的数据3.2.4标准单元设计参数3.3标准单元设计流程3.3.1方案设计3.3.2标准单元电路及版图设计3.3.3标准单元库版图和时序信息的提取3.3.4库模型与库文档生成3.3.5设计工具流程验证3.3.6测试电路设计及工艺流片验证3.4标准单元设计需要的数据3.5标准单元设计eda工具第4章后端全定制设计之标准单元电路设计技术4.1cmos工艺数字电路实现结构4.1.1静态电路实现结构4.1.2伪nmos电路实现结构4.1.3传输管与传输门电路4.1.4动态电路实现结构4.1.5高扇入逻辑电路的实现结构4.2cmos数字电路优化4.3标准单元库中几种时序单元介绍4.3.1c2mos触发器4.3.2真单相触发器4.3.3脉冲触发器4.3.4数据流触发器第5章后端全定制设计之标准单元电路设计实战5.1电路设计流程5.2时序单元hlff的电路设计5.2.1建立库及电路设计环境5.2.2vituososchematiccomposer使用基础5.2.3时序单元hlff电路实现5.2.4时序单元hlff电路元件的产生5.2.5时序单元hlff电路网表输出5.3时序单元hlff的电路仿真5.3.1设置带激励输入的仿真电路图5.3.2使用virtuosospectrecircuitsimulator进行电路仿真第6章后端全定制设计之标准单元版图设计技术6.1基本cmos工艺流程6.2基本版图层6.2.1nmos/pmos晶体管的版图实现6.2.2串联晶体管的版图实现6.2.3并联晶体管的版图实现6.2.4cmos反相器的版图实现6.2.5缓冲器的版图实现6.2.6cmos二输入与非门和或非版图实现6.3版图设计规则6.4版图设计中晶体管布局方法6.4.1基本欧拉路径法6.4.2欧拉路径法在动态电路中的应用6.4.3晶体管尺寸对版图的影响6.5标准单元版图设计的基本指导6.5.1优化设计标准单元6.5.2标准单元pin脚的设计第7章后端全定制设计之标准单元版图设计实战7.1版图设计流程7.2时序单元hlff版图实现7.2.1建立项目库及版图设计环境7.2.2vituosolayouteditor使用基础7.2.3时序单元hlff版图实现7.2.4时序单元hlff版图gds输出7.3版图设计规则检查7.3.1执行版图设计规则检查7.3.2基于版图设计规则结果的调试7.4版图与电路等价性检查7.4.1执行版图与电路等价性检查7.4.2基于版图与电路等价性检查结果的调试7.5版图寄生参数提取第8章后端全定制设计之标准单元特征化技术8.1标准单元时序模型介绍8.1.1基本的时序模型归纳8.1.2时序信息建模方法8.1.3时序信息文件基本内容8.2标准单元物理格式lef介绍8.2.1lef文件中重要参数详细说明8.2.2lef文件全局设置8.2.3lef文件中工艺库物理信息设置8.2.4lef文件中单元库物理信息设置8.2.5lef对应的图形视图第9章后端全定制设计之标准单元特征化实战9.1时序信息提取实现9.1.1时序信息特征化的实现流程9.1.2时序信息特征化的数据准备9.1.3标准单元hlff的时序信息特征化9.1.4siliconsmart工具流程介绍9.2物理信息抽象化实现9.2.1物理信息抽象化实现流程9.2.2建立物理信息抽象化工作环境9.2.3标准单元hlff的物理信息抽象化9.2.4版图抽象化后lef数据输出 第二部分后端半定制设计及实战第10章后端半定制设计之物理实现技术10.1半定制物理实现工程师应该具备的能力10.2半定制物理实现流程10.3半定制物理实现使用的eda工具10.4半定制物理实现需要的数据10.5布局规划10.6电源规划10.6.1电压降与电迁移10.6.2电源规划前的功耗预估方法10.6.3电源条带的基本设置方法10.6.4电源环的基本设置方法10.6.5电源网络分析的基本方法10.7时钟树的实现10.7.1常见时钟网络的实现方法10.7.2时钟树的综合策略10.7.3时钟树的基本性能参数10.7.4时钟树的综合流程10.7.5门控时钟10.7.6时钟树优化基本指导10.8布线10.8.1天线效应10.8.2串扰噪声10.8.3数模混合信号线走线的基本方法10.9eco第11章后端半定制设计之open-sparct1-fpu布局布线实战11.1布局布线的基本流程11.2布局布线工作界面介绍11.3建立布局布线工作环境11.4布局布线实现11.4.1芯片布局11.4.2电源网络实现11.4.3自动放置标准单元11.4.4时钟树综合11.4.5布线11.4.6芯片版图完整性实现11.4.7布局布线数据输出第12章后端半定制设计之open-sparct1-fpu电压降分析实战12.1电压降分析的基本流程12.2建立电压降分析的工作环境12.3电压降分析实现12.3.1设置电源网格库12.3.2功耗计算12.3.3电压降分析 第三部分静态时序分析及实战第13章静态时序分析技术13.1静态时序分析介绍13.1.1静态时序分析背景13.1.2静态时序分析优缺点13.2静态时序分析基本知识13.2.1cmos逻辑门单元时序参数13.2.2时序模型13.2.3互连线模型13.2.4时序单元相关约束13.2.5时序路径13.2.6时钟特性13.2.7时序弧13.2.8pvt环境13.3串扰噪声13.3.1串扰噪声恶化原因13.3.2串扰噪声的体现形式13.3.3串扰噪声相互作用形式13.3.4时间窗口13.4时序约束13.4.1时钟约束13.4.2i/o延时约束13.4.3i/o环境建模约束13.4.4时序例外13.4.5恒定状态约束13.4.6屏蔽时序弧13.4.7时序设计规则约束13.5静态时序分析基本方法13.5.1时序图13.5.2时序分析策略13.5.3时序路径延时的计算方法13.5.4时序路径的分析方法13.5.5时序路径分析模式第14章静态时序分析实战14.1静态时序分析基本流程14.2建立静态时序分析工作环境14.3静态时序分析实现14.3.1建立时间分析14.3.2保持时间分析14.3.3时序设计规则分析14.3.4时序违反修复参考文献    

 1/2    1 2 下一页 尾页

工业技术 电子通信 微电子学、集成电路(IC)

在线阅读